Companies Related Questions, System Verilog 0 Comments

Here are few questions which are tricky to solve System Verilog Questions 1 Implement randc function using rand in system verilog ? Answer : click   2 Write A System Verilog Constraint To Generate Unique Values In Array Without Unique Keyword Answer : click  3 Fork Join Tricky Example Answer : There are few type of fork join questions

Read More

Companies Related Questions, Functional Verification, System Verilog 0 Comments

How do you implement randc function using rand in system verilog ? Program : Understand the difference between randc and rand function rand : it is random number , it can be repeated. randc : it is random number with no repetition for a cycle. it may repeat once it complete one cycle.   Lets

Read More

Companies Related Questions, Functional Verification 0 Comments

There are few things which is very important now a day which leads to have gate simulation. 1 Logic designs are not fully synchronous  , for testing asynchronous design , gate level simulation models it accurately. 2. to check power up/reset operation of logic design. 3 to check x propagation testing  , if there is

Read More

Career Oppurtunity 0 Comments

1 EDA Playground gives engineers immediate hands-on exposure to simulating SystemVerilog, Verilog, VHDL, C++/SystemC, and other HDLs. All you need is a web browser. The goal is to accelerate learning of design/testbench development with easier code sharing and simpler access to EDA tools and libraries, you can access here  link 2 tutorial point verilog section ,

Read More

Career Oppurtunity, Programming Questions 0 Comments

Magic, the VLSI layout editor, extraction, and DRC tool  link XCircuit, the circuit drawing and schematic capture tool link IRSIM, the switch-level digital circuit simulator link Netgen, the circuit netlist comparison (LVS) and netlist conversion tool link Qrouter, the over-the-cell (sea-of-gates) detail router link  Qflow, a complete digital synthesis design flow using open-source software and

Read More

Companies Related Questions, Programming Questions, System Verilog 0 Comments

module unique_array; class data; rand bit [7:0] data[]; constraint data_values { foreach(data[i]) foreach(data[j]) if(i != j) data[i] != data [j] ;} endclass data cl_ob; initial begin cl_ob = new(); cl_ob.data = new[5]; assert(cl_ob.randomize()); foreach(cl_ob.data[i]) $display(“%d”,cl_ob.data[i]); end endmodule