What is meant by $test$plusargs in Verilog?

Companies Related Questions, Functional Verification, System Verilog 0 Comments

This system function searches the list of plusargs (like the $test$plusargs system function) for a user specified plusarg string , If the string is found, the remainder of the string is converted to the type specified in the user_string and the resulting value stored in the variable provided.

like

if($value$plusargs(“STRING=%s”,s))

$display(” GOT STRING cHAR “);

if($value$plusargs(“INTG=%d”,i))

$display(” GOT INTEGER VAL”);

command +STRING=hello +INTG=1

output

GOT STRING CHAR

GOT INTEGER VAL

Leave a Reply