Companies Related Questions, System Verilog , , 0 Comments

An assertion is a description of a property of the design as per specification and is used to validate the behavior of the design. If the property that is being checked for in a simulation does not behave as per specification, then the assertion fails. Similarly if a property or rule is forbidden from happening

Read More

Companies Related Questions, System Verilog , , , 0 Comments

If coverage metric shows high code coverage and a low functional coverage then one or more of following possibilities could be the cause: 1) Not all functionality is implemented in the design as per the specification. Hence the code for same is missing while functional coverage metrics exists with no test 2) There could be

Read More